Stupid VHDL question

smack Down

Diamond Member
Sep 10, 2005
4,507
0
0
I want to create a output port that is a two-D array. I know because VHDL is retarded you can't just create a 2D array. So I want to create a type reg64 that is an array of std_vectors( 31 downto 0 )

So the question becomes where in the file do I place the type definition.
 

cjr22

Member
Mar 21, 2003
65
0
0
You can create a 2d array:

TYPE mytype IS ARRAY (NATURAL <>,NATURAL <>) OF STD_LOGIC;
SIGNAL mysignal : mytype(10 DOWNTO 0, 10 DOWNTO 0);

or

TYPE mytype IS ARRAY (31 DOWNTO 0, 1 DOWNTO 0) OF STD_LOGIC;
SIGNAL mysignal : mytype;

would work, or your plan works too. You put the type definition in a package, before the BEGIN in an architecture or process etc etc...
Wow, this is the first time I've seen a post about VHDL here. It's the only language I could be helpful in too ;-)
 

itachi

Senior member
Aug 17, 2004
390
0
0
what cjr22 said is how u create a 2d array in vhdl.

altho.. if i'm not mistaken, the code should be:
type mytype is array (natural range <>, natural range <&gt) of std_logic;
 

smack Down

Diamond Member
Sep 10, 2005
4,507
0
0
Originally posted by: cjr22
You can create a 2d array:

TYPE mytype IS ARRAY (NATURAL <>,NATURAL <>) OF STD_LOGIC;
SIGNAL mysignal : mytype(10 DOWNTO 0, 10 DOWNTO 0);

or

TYPE mytype IS ARRAY (31 DOWNTO 0, 1 DOWNTO 0) OF STD_LOGIC;
SIGNAL mysignal : mytype;

would work, or your plan works too. You put the type definition in a package, before the BEGIN in an architecture or process etc etc...
Wow, this is the first time I've seen a post about VHDL here. It's the only language I could be helpful in too ;-)

I know you can create them in VHDL they just are not supported by the synthisizing tool.
 

itachi

Senior member
Aug 17, 2004
390
0
0
package typespackage is
type fake2d32 is array (natural range <&gt) of std_logic_vector (31 downto 0);
end typespackage;

use work.typespackage.all;
ENTITY someModule IS
port (
A : in std_logic_vector (31 downto 0);
B : out fake2d32 (31 downto 0);
);
END someModule;

i didn't notice this before.. but why are you trying to use a vector as the output? i can't imagine any real situations where it'd make sense to combine all the ports..
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |